PoC.bus.stream.Sink

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
entity stream_Sink is
  generic (
    TESTCASES         : T_SIM_STREAM_FRAMEGROUP_VECTOR_8
  );
  port (
    Clock             : in  std_logic;
    Reset             : in  std_logic;
    -- Control interface
    Enable            : in  std_logic;
    Error             : out std_logic;
    -- IN Port
    In_Valid          : in  std_logic;
    In_Data           : in  T_SLV_8;
    In_SOF            : in  std_logic;
    In_EOF            : in  std_logic;
    In_Ack            : out std_logic
  );
end entity;