PoC.io.lcd.dotmatrix¶
Todo
No documentation available.
Entity Declaration:
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 | entity lcd_dotmatrix is
generic(
CLOCK_FREQ : FREQ;
DATA_WIDTH : positive; -- Width of data bus (4 or 8)
T_W : time := 500 ns; -- Minimum width of E pulse
T_SU : time := 60 ns; -- Minimum RS + R/W setup time
T_H : time := 20 ns; -- Minimum RS + R/W hole time
T_C : time := 1000 ns; -- Minimum cycle time
B_RECOVER_TIME : time := 5 us -- Recover time after cleared Busy flag
);
port(
-- Global Reset and Clock
clk, rst : in std_logic;
skip_bf : in std_logic := '0'; -- Skip test for cleared busy flag
-- Upper Layer Interface
rdy : out std_logic; -- ready for command or data
stb : in std_logic; -- input strobe
cmd : in std_logic; -- command / no data selector
dat : in std_logic_vector(7 downto 0); -- command or data word
-- LCD Connections
lcd_e : out std_logic; -- Enable
lcd_rs : out std_logic; -- Register Select
lcd_rw : out std_logic; -- Read /Write, Data Direction Selector
lcd_dat_i : in std_logic_vector(DATA_WIDTH-1 downto 0); -- Data Input
lcd_dat_o : out std_logic_vector(DATA_WIDTH-1 downto 0) -- Data Output
);
end entity;
|