PoC.misc.ByteAligner¶
Todo
No documentation available.
Entity Declaration:
1 2 3 4 5 6 7 8 9 10 11 12 13 14 | entity misc_ByteAligner is
generic (
REGISTERED : boolean := FALSE; -- add output register @Clock
WORD_BITS : positive := 32; --
BYTE_BITS : positive := 8 --
);
port (
Clock : in std_logic; -- clock
In_Align : in std_logic_vector((WORD_BITS / BYTE_BITS) - 1 downto 0); -- align word (one-hot coded)
In_Data : in std_logic_vector(WORD_BITS - 1 downto 0); -- input word
-- Out_Align : in std_logic_vector((WORD_BITS / BYTE_BITS) - 1 downto 0); -- align word (one-hot coded)
Out_Data : out std_logic_vector(WORD_BITS - 1 downto 0) -- output word
);
end entity;
|