PoC.net.ndp.NeighborCache

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity ndp_NeighborCache is
  generic (
    REPLACEMENT_POLICY        : string                                := "LRU";
    TAG_BYTE_ORDER            : T_BYTE_ORDER                          := BIG_ENDIAN;
    DATA_BYTE_ORDER           : T_BYTE_ORDER                          := BIG_ENDIAN;
    INITIAL_CACHE_CONTENT     : T_NET_NDP_NEIGHBORCACHE_VECTOR
  );
  port (
    Clock                     : in  std_logic;                                  --
    Reset                     : in  std_logic;                                  --
    
    Lookup                    : in  std_logic;
    IPv6Address_rst           : out std_logic;
    IPv6Address_nxt           : out std_logic;
    IPv6Address_Data          : in  T_SLV_8;
    
    CacheResult               : out T_CACHE_RESULT;
    MACAddress_rst            : in  std_logic;
    MACAddress_nxt            : in  std_logic;
    MACAddress_Data           : out T_SLV_8;
    
    Reachability              : out T_NET_NDP_REACHABILITY_STATE
  );
end entity;