PoC.io.mdio.IIC_Adapter

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
entity mdio_IIC_Adapter is
  generic (
    DEBUG                         : boolean                       := TRUE
  );
  port (
    Clock                         : in  std_logic;
    Reset                         : in  std_logic;
    
    -- MDIO interface
    Command                       : in  T_IO_MDIO_MDIOCONTROLLER_COMMAND;
    Status                        : out T_IO_MDIO_MDIOCONTROLLER_STATUS;
    Error                         : out T_IO_MDIO_MDIOCONTROLLER_ERROR;
    
    DeviceAddress                 : in  std_logic_vector(6 downto 0);
    RegisterAddress               : in  std_logic_vector(4 downto 0);
    DataIn                        : in  T_SLV_16;
    DataOut                       : out T_SLV_16;
    
    -- IICController master interface
    IICC_Request                  : out std_logic;
    IICC_Grant                    : in  std_logic;
    IICC_Command                  : out T_IO_IIC_COMMAND;
    IICC_Status                   : in  T_IO_IIC_STATUS;
    IICC_Error                    : in  T_IO_IIC_ERROR;
    
    IICC_Address                  : out T_SLV_8;
    
    IICC_WP_Valid                 : out std_logic;
    IICC_WP_Data                  : out T_SLV_8;
    IICC_WP_Last                  : out std_logic;
    IICC_WP_Ack                   : in  std_logic;
    IICC_RP_Valid                 : in  std_logic;
    IICC_RP_Data                  : in  T_SLV_8;
    IICC_RP_Last                  : in  std_logic;
    IICC_RP_Ack                   : out std_logic
  );
end entity;