PoC.mem.ocrom.sp¶
Inferring / instantiating single-port read-only memory
- single clock, clock enable
- 1 read port
Entity Declaration:
1 2 3 4 5 6 7 8 9 10 11 12 13 | entity ocrom_sp is
generic (
A_BITS : positive;
D_BITS : positive;
FILENAME : string := ""
);
port (
clk : in std_logic;
ce : in std_logic;
a : in unsigned(A_BITS-1 downto 0);
q : out std_logic_vector(D_BITS-1 downto 0)
);
end entity;
|