PoC.io.pio.fifo_out

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
entity pio_fifo_out is
  generic (
    DATARATE    : T_IO_DATARATE := IO_DATARATE_SDR;
    BITS        : positive      := 8
  );
  port (
    Clock       : in  std_logic;
    Reset       : in  std_logic;
    
    put         : in  std_logic;
    DataIn      : in  std_logic_vector(BITS - 1 downto 0);
    Full        : out std_logic;
    
    Pad_Clock   : out std_logic;
    Pad_DataOut : out std_logic_vector(BITS downto 0);
    Pad_DataIn  : in  std_logic_vector(0 downto 0)
  );
end entity;