PoC.io.pio.in

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
entity pio_in is
  generic (
    DATARATE    : T_IO_DATARATE := IO_DATARATE_SDR;
    DATA_BITS   : natural       := 8;
    REV_BITS    : natural       := 0
  );
  port (
    Clock       : out std_logic;
    DataOut     : out std_logic_vector(ite((DATARATE = IO_DATARATE_DDR), 2*DATA_BITS, DATA_BITS) - 1 downto 0);
    DataIn      : in  std_logic_vector(ite((DATARATE = IO_DATARATE_DDR), 2*REV_BITS, REV_BITS) - 1 downto 0);
    
    Pad_Clock   : in  std_logic;
    Pad_DataIn  : in  std_logic_vector(DATA_BITS - 1 downto 0);
    Pad_DataOut : out std_logic_vector(REV_BITS - 1 downto 0)
  );
end entity;