PoC.io.vga.phy

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
entity vga_phy is
  generic (
    COLOR_BITS : positive
  );
  port (
    clk             : in  std_logic;
    phy_ctrl        : in  T_IO_VGA_PHY_CTRL;
    pixel_data_in   : in  std_logic_vector(COLOR_BITS - 1 downto 0);
    hsync           : out std_logic;
    vsync           : out std_logic;
    pixel_data_out  : out std_logic_vector(COLOR_BITS - 1 downto 0)
  );
end entity;