PoC.sort.ExpireList

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
entity list_expire is
  generic (
    CLOCK_CYCLE_TICKS         : positive                        := 1024;
    EXPIRATION_TIME_TICKS     : natural                         := 10;
    ELEMENTS                  : positive                        := 32;
    KEY_BITS                  : positive                        := 4
  );
  port (
    Clock                     : in  std_logic;
    Reset                     : in  std_logic;
    
    Tick                      : in  std_logic;
    
    Insert                    : in  std_logic;
    KeyIn                     : in  std_logic_vector(KEY_BITS - 1 downto 0);
    
    Expired                   : out std_logic;
    KeyOut                    : out std_logic_vector(KEY_BITS - 1 downto 0)
  );
end entity;