PoC.sort.LeastFrequentlyUsed¶
Todo
No documentation available.
Entity Declaration:
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 | entity sort_LeastFrequentlyUsed is
generic (
ELEMENTS : positive := 1024;
KEY_BITS : positive := 16;
DATA_BITS : positive := 16;
COUNTER_BITS : positive := 8
);
port (
Clock : in std_logic;
Reset : in std_logic;
Access : in std_logic;
Key : in std_logic_vector(KEY_BITS - 1 downto 0);
LFU_Valid : out std_logic;
LFU_Key : out std_logic_vector(KEY_BITS - 1 downto 0)
);
end entity;
|