PoC.sort.InsertSort¶
Todo
No documentation available.
Entity Declaration:
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 | entity list_lru_systolic is
generic (
ELEMENTS : positive := 32;
KEY_BITS : positive := 16;
DATA_BITS : positive := 16;
INSERT_PIPELINE_AFTER : natural := 16
);
port (
Clock : in std_logic;
Reset : in std_logic;
Insert : in std_logic;
DataIn : in std_logic_vector(DATA_BITS - 1 downto 0);
Valid : out std_logic;
DataOut : out std_logic_vector(DATA_BITS - 1 downto 0);
DBG_Data : out T_SLM(ELEMENTS - 1 downto 0, DATA_BITS - 1 downto 0);
DBG_Valids : out std_logic_vector(ELEMENTS - 1 downto 0)
);
end entity;
|