PoC.misc.Delay¶
Todo
No documentation available.
Entity Declaration:
1 2 3 4 5 6 7 8 9 10 11 12 13 | entity misc_Delay is
generic (
BITS : positive;
TAPS : T_NATVEC -- select one or multiple delay tap points
);
port (
Clock : in std_logic; -- clock
Reset : in std_logic := '0'; -- reset; avoid reset to enable SRL16/SRL32 usage
Enable : in std_logic := '1'; -- enable
DataIn : in std_logic_vector(BITS - 1 downto 0); -- data to delay
DataOut : out T_SLM(TAPS'length - 1 downto 0, BITS - 1 downto 0) -- delayed ouputs, tapped at TAPS(i)
);
end entity;
|