PoC.misc.StrobeLimiter

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
entity misc_StrobeLimiter is
  generic (
    MIN_STROBE_PERIOD_CYCLES    : positive    := 16;
    INITIAL_LOCKED              : boolean     := FALSE;
    INITIAL_STROBE              : boolean     := TRUE--;
--    REGISTERED_OUTPUT           : BOOLEAN     := FALSE      -- TODO:
  );
  port (
    Clock       : in  std_logic;
    I           : in  std_logic;
    O           : out std_logic
  );
end entity;