PoC.misc.Sequencer

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
entity misc_Sequencer is
  generic (
    INPUT_BITS          : positive          := 32;
    OUTPUT_BITS         : positive          := 8;
    REGISTERED          : boolean           := FALSE
  );
  port (
    Clock               : in  std_logic;
    Reset               : in  std_logic;
    
    Input               : in  std_logic_vector(INPUT_BITS - 1 downto 0);
    rst                 : in  std_logic;
    rev                 : in  std_logic;
    nxt                 : in  std_logic;
    Output              : out std_logic_vector(OUTPUT_BITS - 1 downto 0)
  );
end entity;