PoC.misc.StrobeGenerator

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
entity misc_StrobeGenerator is
  generic (
    STROBE_PERIOD_CYCLES  : positive    := 16;
    INITIAL_STROBE        : boolean     := TRUE
  );
  port (
    Clock   : in  std_logic;
    O       : out std_logic
  );
end entity;