PoC.sort.sortnet.Stream_Adapter2¶
Todo
No documentation available.
Entity Declaration:
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 | entity sortnet_Stream_Adapter2 is
generic (
STREAM_DATA_BITS : positive := 32;
STREAM_META_BITS : positive := 2;
DATA_COLUMNS : positive := 2;
SORTNET_IMPL : T_SORTNET_IMPL := SORT_SORTNET_IMPL_ODDEVEN_MERGESORT;
SORTNET_SIZE : positive := 32;
SORTNET_KEY_BITS : positive := 32;
SORTNET_DATA_BITS : natural := 32;
SORTNET_REG_AFTER : natural := 2;
MERGENET_STAGES : positive := 2
);
port (
Clock : in std_logic;
Reset : in std_logic;
Inverse : in std_logic := '0';
In_Valid : in std_logic;
In_Data : in std_logic_vector(STREAM_DATA_BITS - 1 downto 0);
In_Meta : in std_logic_vector(STREAM_META_BITS - 1 downto 0);
In_SOF : in std_logic;
In_IsKey : in std_logic;
In_EOF : in std_logic;
In_Ack : out std_logic;
Out_Valid : out std_logic;
Out_Data : out std_logic_vector(STREAM_DATA_BITS - 1 downto 0);
Out_Meta : out std_logic_vector(STREAM_META_BITS - 1 downto 0);
Out_SOF : out std_logic;
Out_IsKey : out std_logic;
Out_EOF : out std_logic;
Out_Ack : in std_logic
);
end entity;
|