PoC.xil.SystemMonitor¶
This module generates a PWM signal for a 3-pin (transistor controlled) or 4-pin fan header. The FPGAs temperature is read from device specific system monitors (normal, user temperature, over temperature).
For example the Xilinx System Monitors are configured as follows:
| /-----\
Temp_ov on=80 | - - - - - - /-------/ \
| / | \
Temp_ov off=60 | - - - - - / - - - - | - - - - \----\
| / | |\
| / | | \
Temp_us on=35 | - /---/ | | \
Temp_us off=30 | - / - -|- - - - - - |- - - - - - - |- -\------\
| / | | | \
----------------|--------|------------|--------------|-----------|--------
pwm = | min | medium | max | medium | min
Entity Declaration:
1 2 3 4 5 6 7 8 9 10 11 12 | entity xil_SystemMonitor is
port (
-- Global Control
Clock : in std_logic;
Reset : in std_logic;
-- FPGA Temperature values
Temperature : out std_logic_vector(11 downto 0);
UserTemperature : out std_logic;
OverTemperature : out std_logic
);
end entity;
|