PoC.io.lcd.LCDBuffer

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
entity lcd_LCDBuffer is
  generic (
    CLOCK_FREQ            : FREQ        := 100 MHz;
    MIN_REFRESH_PERIOD    : time        := 100 ms
  );
  port (
    Clock       : in  std_logic;
    Reset       : in  std_logic;
    
    Load        : in  std_logic;
    LCDBuffer   : in  T_LCD;
    
    CharColumn  : in  T_LCD_COLUMN_INDEX;
    CharRow     : in  T_LCD_ROW_INDEX;
    Char        : out T_LCD_CHAR
  );
end entity;