PoC.io.lcd.LCDSynchronizer

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity lcd_LCDSynchronizer is
  generic (
    CLOCK_FREQ          : FREQ    := 100 MHz
  );
  port (
    Clock               : in  std_logic;
    Reset               : in  std_logic;
    
    Synchronize         : in  std_logic;
    Synchronized        : out std_logic;
    
    Column              : out T_LCD_COLUMN_INDEX;
    Row                 : out T_LCD_ROW_INDEX;
    Char                : in  T_LCD_CHAR;
    
    -- LCD interface
    LCD_en              : out std_logic;
    LCD_rw              : out std_logic;
    LCD_rs              : out std_logic;                -- LCD Register Select
    LCD_Data_o          : out T_SLV_4;
    LCD_Data_i          : in  T_SLV_4
  );
end entity;