PoC.io.lcd.LCDController_KS0066U

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity lcd_LCDController_KS0066U is
  generic (
    SPEEDUP_SIMULATION        : boolean                       := TRUE;
    CLOCK_FREQ_MHZ            : REAL                          := 125.0;         -- 125 MHz
    LCD_BUS_BITS              : positive                      := 4
  );
  port (
    Clock                     : in  std_logic;
    Reset                     : in  std_logic;
    
    Command                   : in  T_IO_LCD_COMMAND;
    Status                    : out T_IO_LCD_STATUS;
    
    DataOut                   : out T_SLV_8;
    
    LCD_BusEnable             : out std_logic;
    LCD_ReadWrite             : out std_logic;
    LCD_RegisterSelect        : out std_logic;
    LCD_Data_i                : in  std_logic_vector(7 downto (8 - LCD_BUS_BITS));
    LCD_Data_o                : out std_logic_vector(7 downto (8 - LCD_BUS_BITS));
    LCD_Data_t                : out std_logic_vector(7 downto (8 - LCD_BUS_BITS))
  );
end  entity;