PoC.io.lcd.LCDBusController

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity lcd_LCDBusController is
  generic (
    SPEEDUP_SIMULATION        : boolean                       := TRUE;
    CLOCK_FREQ                : FREQ                          := 100 MHz;
    LCD_BUS_BITS              : positive                      := 4
  );
  port (
    Clock                     : in  std_logic;
    Reset                     : in  std_logic;
    
    Command                   : in  T_IO_LCDBUS_COMMAND;
    Status                    : out T_IO_LCDBUS_STATUS;
    RegisterAddress           : in  std_logic;
    
    DataIn                    : in  T_SLV_8;
    DataOut                   : out T_SLV_8;
    
    LCD_BusEnable             : out std_logic;
    LCD_ReadWrite             : out std_logic;
    LCD_RegisterSelect        : out std_logic;
    LCD_Data_i                : in  std_logic_vector(7 downto (8 - LCD_BUS_BITS));
    LCD_Data_o                : out std_logic_vector(7 downto (8 - LCD_BUS_BITS));
    LCD_Data_t                : out std_logic_vector(7 downto (8 - LCD_BUS_BITS))
  );
end entity;