PoC.net.eth.GEMAC_GMII

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
entity eth_GEMAC_GMII is
  generic (
    DEBUG                           : boolean                 := TRUE;
    CLOCK_FREQ_MHZ                  : REAL                    := 125.0;         -- 125 MHz
    
    TX_FIFO_DEPTH                   : positive                := 2048;          -- 2 KiB TX Buffer
    TX_INSERT_CROSSCLOCK_FIFO       : boolean                 := TRUE;          -- true = crossclock fifo; false = fifo_glue
    TX_SUPPORT_JUMBO_FRAMES         : boolean                 := FALSE;         -- TODO:
    TX_DISABLE_UNDERRUN_PROTECTION  : boolean                 := FALSE;         -- TODO:              true: no protection; false: store complete frame in buffer befor transmitting it
    
    RX_FIFO_DEPTH                   : positive                := 4096;          -- 4 KiB TX Buffer
    RX_INSERT_CROSSCLOCK_FIFO       : boolean                 := TRUE;          -- true = crossclock fifo; false = fifo_glue
    RX_SUPPORT_JUMBO_FRAMES         : boolean                 := FALSE          -- TODO:
  );
  port (
    -- clock interface
    TX_Clock                  : in  std_logic;
    RX_Clock                  : in  std_logic;
    Eth_TX_Clock              : in  std_logic;
    Eth_RX_Clock              : in  std_logic;
    RS_TX_Clock               : in  std_logic;
    RS_RX_Clock               : in  std_logic;
    
    -- reset interface
    TX_Reset                  : in  std_logic;
    RX_Reset                  : in  std_logic;
    RS_TX_Reset               : in  std_logic;
    RS_RX_Reset               : in  std_logic;
    
    -- Command-Status-Error interface
    TX_BufferUnderrun         : out std_logic;
    RX_FrameDrop              : out std_logic;
    RX_FrameCorrupt           : out std_logic;
    
    -- MAC LocalLink interface
    TX_Valid                  : in  std_logic;
    TX_Data                   : in  T_SLV_8;
    TX_SOF                    : in  std_logic;
    TX_EOF                    : in  std_logic;
    TX_Ack                    : out std_logic;
    
    RX_Valid                  : out std_logic;
    RX_Data                   : out T_SLV_8;
    RX_SOF                    : out std_logic;
    RX_EOF                    : out std_logic;
    RX_Ack                    : in  std_logic;
    
    -- MAC-GMII interface
    RS_TX_Valid               : out std_logic;
    RS_TX_Data                : out T_SLV_8;
    RS_TX_Error               : out std_logic;
    
    RS_RX_Valid               : in  std_logic;
    RS_RX_Data                : in  T_SLV_8;
    RS_RX_Error               : in  std_logic--;
    
    -- Management Data Input/Output
--    MDIO                      : inout T_ETHERNET_PHY_INTERFACE_MDIO
  );
end entity;