PoC.net.eth.Wrapper

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
entity Eth_Wrapper is
  generic (
    DEBUG                     : boolean                             := FALSE;
    CLOCKIN_FREQ              : FREQ                                := 125 MHz;                                 -- 125 MHz
    ETHERNET_IPSTYLE          : T_IPSTYLE                           := IPSTYLE_SOFT;                            --
    RS_DATA_INTERFACE         : T_NET_ETH_RS_DATA_INTERFACE         := NET_ETH_RS_DATA_INTERFACE_GMII;          --
    PHY_DEVICE                : T_NET_ETH_PHY_DEVICE                := NET_ETH_PHY_DEVICE_MARVEL_88E1111;       --
    PHY_DEVICE_ADDRESS        : T_NET_ETH_PHY_DEVICE_ADDRESS        := x"00";                                   --
    PHY_DATA_INTERFACE        : T_NET_ETH_PHY_DATA_INTERFACE        := NET_ETH_PHY_DATA_INTERFACE_GMII;         --
    PHY_MANAGEMENT_INTERFACE  : T_NET_ETH_PHY_MANAGEMENT_INTERFACE  := NET_ETH_PHY_MANAGEMENT_INTERFACE_MDIO    --
  );
  port (
    Ethernet_Reset            : in  std_logic;        -- TODO: replace this signal by 6 aligned reset for each clock-domain
    
    RS_TX_Clock               : in  std_logic;
    RS_RX_Clock               : in  std_logic;
    Eth_TX_Clock              : in  std_logic;
    Eth_RX_Clock              : in  std_logic;
    TX_Clock                  : in  std_logic;
    RX_Clock                  : in  std_logic;
    
    Command                   : in  T_NET_ETH_COMMAND;
    Status                    : out T_NET_ETH_STATUS;
    Error                     : out T_NET_ETH_ERROR;
    
    -- LocalLink interface
    TX_Valid                  : in  std_logic;
    TX_Data                   : in  T_SLV_8;
    TX_SOF                    : in  std_logic;
    TX_EOF                    : in  std_logic;
    TX_Ack                    : out std_logic;
    
    RX_Valid                  : out std_logic;
    RX_Data                   : out T_SLV_8;
    RX_SOF                    : out std_logic;
    RX_EOF                    : out std_logic;
    RX_Ack                    : in  std_logic;
    
    -- GMII PHY interface
-- TODO:    GMII_Reset                : out STD_LOGIC;        --             RST    -> PHY Reset
-- TODO:    GMII_Interrupt            : in  STD_LOGIC;        --             INT    -> Interrupt

    PHY_Interface             : inout T_NET_ETH_PHY_INTERFACES
  );
end entity;