PoC.net.eth.GEMAC_TX

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity Eth_GEMAC_TX is
  generic (
    DEBUG           : boolean           := FALSE
  );
  port (
    RS_TX_Clock               : in  std_logic;
    RS_TX_Reset               : in  std_logic;
    
    -- status interface
    BufferUnderrun            : out std_logic;
    
    -- LocalLink interface
    TX_Valid                  : in  std_logic;
    TX_Data                   : in  T_SLV_8;
    TX_SOF                    : in  std_logic;
    TX_EOF                    : in  std_logic;
    TX_Ack                    : out std_logic;
    
    -- Reconcilation Sublayer interface
    RS_TX_Valid               : out std_logic;
    RS_TX_Data                : out T_SLV_8;
    RS_TX_Error               : out std_logic
  );
end entity;