PoC.net.eth.PHYController_Marvell_88E1111

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity Eth_PHYController_Marvell_88E1111 is
  generic (
    DEBUG                     : boolean                         := FALSE;
    CLOCK_FREQ                : FREQ                            := 125 MHz;
    PHY_DEVICE_ADDRESS        : T_NET_ETH_PHY_DEVICE_ADDRESS    := "XXXXXXXX"
  );
  port (
    Clock                     : in  std_logic;
    Reset                     : in  std_logic;
    
    -- PHYController interface
    Command                   : in  T_NET_ETH_PHYCONTROLLER_COMMAND;
    Status                    : out T_NET_ETH_PHYCONTROLLER_STATUS;
    Error                     : out T_NET_ETH_PHYCONTROLLER_ERROR;
    
    PHY_Reset                 : out   std_logic;
    PHY_Interrupt             : in    std_logic;
    
    MDIO_Command              : out T_IO_MDIO_MDIOCONTROLLER_COMMAND;
    MDIO_Status               : in  T_IO_MDIO_MDIOCONTROLLER_STATUS;
    MDIO_Error                : in  T_IO_MDIO_MDIOCONTROLLER_ERROR;
    
    MDIO_Physical_Address     : out std_logic_vector(6 downto 0);
    MDIO_Register_Address     : out std_logic_vector(4 downto 0);
    MDIO_Register_DataIn      : in  T_SLV_16;
    MDIO_Register_DataOut     : out T_SLV_16
  );
end entity;