PoC.net.eth.PHYController

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity Eth_PHYController is
  generic (
    DEBUG                     : boolean                                 := FALSE;                                     --
    CLOCK_FREQ                : FREQ                                    := 125 MHz;                                   -- 125 MHz
    PCSCORE                   : T_NET_ETH_PCSCORE                       := NET_ETH_PCSCORE_GENERIC_GMII;              --
    PHY_DEVICE                : T_NET_ETH_PHY_DEVICE                    := NET_ETH_PHY_DEVICE_MARVEL_88E1111;         --
    PHY_DEVICE_ADDRESS        : T_NET_ETH_PHY_DEVICE_ADDRESS            := x"00";                                     --
    PHY_MANAGEMENT_INTERFACE  : T_NET_ETH_PHY_MANAGEMENT_INTERFACE      := NET_ETH_PHY_MANAGEMENT_INTERFACE_MDIO;     --
    BAUDRATE                  : BAUD                                    := 1 MBd                                      -- 1.0 MBit/s
  );
  port (
    Clock                     : in    std_logic;
    Reset                     : in    std_logic;
    
    -- PHYController interface
    Command                   : in    T_NET_ETH_PHYCONTROLLER_COMMAND;
    Status                    : out   T_NET_ETH_PHYCONTROLLER_STATUS;
    Error                     : out   T_NET_ETH_PHYCONTROLLER_ERROR;
    
    PHY_Reset                 : out   std_logic;                              --
    PHY_Interrupt             : in    std_logic;                              --
    PHY_MDIO                  : inout T_NET_ETH_PHY_INTERFACE_MDIO            -- Management Data Input/Output
  );
end entity;