PoC.net.eth.GEMAC_RX

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
entity Eth_GEMAC_RX is
  generic (
    DEBUG           : boolean           := FALSE
  );
  port (
    RS_RX_Clock               : in  std_logic;
    RS_RX_Reset               : in  std_logic;
    
    -- MAC interface
    RX_Valid                  : out std_logic;
    RX_Data                   : out T_SLV_8;
    RX_SOF                    : out std_logic;
    RX_EOF                    : out std_logic;
    RX_GoodFrame              : out std_logic;
    
    -- Reconcilation Sublayer interface
    RS_RX_Valid               : in  std_logic;
    RS_RX_Data                : in  T_SLV_8;
    RS_RX_Error               : in  std_logic
  );
end entity;